СОСТАВ ПЛАЗМЫ И КИНЕТИКA ТРАВЛЕНИЯ SiO2 В СМЕСИ CF4/C4F8/Ar/He: ВЛИЯНИЕ СООТНОШЕНИЯ CF4/C4F8 И МОЩНОСТИ СМЕЩЕНИЯ

  • Alexander M. Efremov Ивановский государственный химико-технологический университет
  • Kwang-Ho Kwon Korea University
Ключевые слова: C4F8, CF4, плазма, параметры, активные частицы, ионизация, диссоциация, травление

Аннотация

Исследованы характеристики газовой фазы и кинетика реактивно-ионного травления диоксида кремния в плазме CF4/C4F8/Ar/He при варьировании соотношения CF4/C4F8 и потенциала смещения в режиме малой (~ 0,05 Вт/см3) вкладываемой мощности. Интерес к такому режиму обусловлен возможностью получения высокой анизотропии травления при малых радиационных повреждениях поверхности. Схема исследования включала диагностику плазмы с помощью зондов Ленгмюра и оптической эмиссионной спектроскопии в варианте внутренней (без использования стандартной добавки) актинометрии. Показано, что замещение C4F8 на CF4 не приводит к существенным изменениям параметров электронной и ионной компонент плазмы, но сопровождается незначительным ростом концентрации атомов фтора. Напротив, увеличение мощности (а, следовательно, и потенциала) смещения не возмущает состава плазмы, но характеризуется пропорциональным изменением энергии бомбардирующих ионов. Таким образом, выбранные варьируемые параметры представляют классические «химический» и «физический» механизмы воздействия на кинетику гетерогенных стадий процесса травления. Установлено, что основной вклад в процесс травления SiO2 вносит химическая составляющая, при этом при мощностях смещения выше 400 Вт вероятность химической реакции Si(s.) + xF → SiFx (где индекс (s.) отвечает частице, локализованной на поверхности) не зависит от эффективности образования центров адсорбции для атомов фтора под действием ионной бомбардировки SiOx(s.) → Si(s.)  + xO. В условиях малых мощностей смещения наличие такой зависимости подтверждается симбатным поведением эффективной вероятности взаимодействия и интенсивности ионной бомбардировки, характеризуемой произведением плотности потока ионов на корень квадратный из их энергии. Сделаны предположения об особенностях кинетики объемных и гетерогенных процессов в условиях низкой плотности плазмы.

Для цитирования:

Ефремов А.М., Kwon K.-H. Состав плазмы и кинетикa травления SiO2 в смеси CF4/C4F8/Ar/He: влияние соотношения CF4/C4F8 и мощности смещения. Изв. вузов. Химия и хим. технология. 2022. Т. 65. Вып. 10. С. 47-53. DOI: 10.6060/ivkkt.20226510.6604.

Литература

Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. V. 1. Process Technology. New York: Lattice Press. 2000. 416 p.

Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer Internat. Publ. 2015. 116 p. DOI: 10.1007/978-3-319-10295-5.

Advanced plasma processing technology. New York: John Wiley & Sons Inc. 2008. 479 p.

Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 757 p. DOI: 10.1002/0471724254.

Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60. DOI: 10.1116/1.1626642.

Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A. 1999. V. 17. P. 26-37. DOI: 10.1116/1.582108.

Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A. 1999. V. 17. P. 3179-3184. DOI: 10.1116/1.58209.

Donnelly V.M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. 2013. V. 31. P. 050825-48. DOI: 10.1116/1.4819316.

Efremov A., Murin D., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process. Russ. Microelectronics. 2020. V. 49. N 3. P. 157-165. DOI: 10.1134/S1063739720020031.

Efremov A., Murin D., Kwon K.-H. Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2019. V. 62. N 2. P. 31-37. DOI: 10.6060/ivkkt.20196202.5791.

Efremov A.M., Murin D.B., Kwon K.-H. Plasma parameters and active species kinetics in CF4+C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2018. V. 61. N 4-5. P. 31-36. DOI: 10.6060/tcct.20186104-05.5695.

Efremov A., Lee B. J., Kwon K.-H. On Relationships Between Gas-Phase Chemistry and Reactive-Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures. Materials. 2021. V. 14. P. 1432(1-27). DOI: 10.3390/ma14061432.

Lim N., Efremov A., Kwon K.-H. A comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications. Plasma Chem. Plasma Process. 2021. V. 41. P. 1671-1689. DOI: 10.1007/s11090-021-10198-z.

Veselov D.S., Bakun A.D., Voronov Yu.A. Reactive ion etching of silicon using low-power plasma etcher. J. Phys.: Conf. Ser. 2016. V. 748. P. 012017(1-4). DOI: 10.1088/1742-6596/748/1/012017.

Ashraf M., Sundararajan S.V., Grenc G. Low-power, low-pressure reactiveion etching process for silicon etching with vertical and smooth walls for mechanobiology application. J. Micro/Nanolith. Mems Moems. 2017. V. 16. P. 034501(1-8). DOI: 10.1117/1.JMM.16.3.034501.

Osipov A.A., Aleksandrov S.E., Solov’ev Yu.V., Uvarov A.A. Etching of SiC in low power inductively-coupled plas-ma. Russ. Microelectronics. 2018. V. 47. N 6. P. 427-433. DOI: 10.1134/S1063739719010074.

Johnson E.O., Malter L. A floating double probe method for measurements in gas discharges. Phys. Rev. 1950. V. 80. P. 58-70. DOI: 10.1103/PhysRev.80.58.

Shun’ko E.V. Langmuir probe in theory and practice. Boca Raton: Universal Publ. 2008. 245 p.

Lopaev D.V., Volynets A.V., Zyryanov S. M., Zotovich A.I., Rakhimov A.T. Actinometry of O, N and F atoms. J. Phys. D: Appl. Phys. 2017. V. 50. P. 075202 (1-17). DOI: 10.1088/1361-6463/50/7/075202.

Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

Seah M.P., Nunney T.S. Sputtering yields of compounds using argon ions. J. Phys. D: Appl. Phys. 2010. V. 43.

P. 253001 (1-24). DOI: 10.1088/0022-3727/43/25/253001.

Chapman B. Glow Discharge Processes: Sputtering and Plasma Etching. New York: John Wiley & Sons Inc. 1980. 432 p.

Опубликован
2022-08-17
Как цитировать
Efremov, A. M., & Kwon, K.-H. (2022). СОСТАВ ПЛАЗМЫ И КИНЕТИКA ТРАВЛЕНИЯ SiO2 В СМЕСИ CF4/C4F8/Ar/He: ВЛИЯНИЕ СООТНОШЕНИЯ CF4/C4F8 И МОЩНОСТИ СМЕЩЕНИЯ. ИЗВЕСТИЯ ВЫСШИХ УЧЕБНЫХ ЗАВЕДЕНИЙ. СЕРИЯ «ХИМИЯ И ХИМИЧЕСКАЯ ТЕХНОЛОГИЯ», 65(10), 47-53. https://doi.org/10.6060/ivkkt.20226510.6604
Раздел
ХИМИЯ неорганич., органич., аналитич., физич., коллоидная, высокомол. соединений

Наиболее читаемые статьи этого автора (авторов)

1 2 > >>